Ano ang Code Converter: Binary to Gray Code & Gray Code sa Binary Conversion

Subukan Ang Aming Instrumento Para Sa Pagtanggal Ng Mga Problema





Sa mga computer, kailangan nating i-convert ang binary sa grey at grey sa binary. Ang pag-convert nito ay maaaring gawin sa pamamagitan ng paggamit ng dalawang mga panuntunan katulad ng binary to grey conversion at grey sa binary conversion. Sa unang conversion, ang MSB ng kulay abong code ay patuloy na katumbas ng MSB ng binary code. Ang mga karagdagang piraso ng output ng kulay-abo na code ay maaaring makakuha ng paggamit ng EX-OR na konsepto ng logic gate sa mga binary code sa kasalukuyang index pati na rin ang naunang index. Narito ang MSB ay walang anuman kundi ang pinaka makabuluhang piraso. Sa unang conversion, ang MSB ng binary code ay patuloy na katumbas ng MSB ng partikular na binary code. Ang mga karagdagang piraso ng output ng binary code ay maaaring makuha gamit ang EX-OR gate ng lohika konsepto sa pamamagitan ng pag-verify ng mga grey code sa kasalukuyang index. Kung ang kasalukuyang grey code bit ay zero pagkatapos pagkatapos ng kopya na iyon ng mas maaga binary code, pati na rin kopyahin ang reverse ng mas naunang code ng binary code. Tinalakay sa artikulong ito ang isang pangkalahatang-ideya ng mga converter ng code na kasama ang binary to grey code converter pati na rin ang grey sa binary code converter.

Ano ang isang Binary Code?

Sa mga digital computer, ang code na ginamit batay sa isang binary number system ay kilala bilang binary code. Mayroong dalawang posibleng estado tulad ng ON & OFF na kinakatawan sa pamamagitan ng 0 & 1. Gumagamit ang digital system ng 10 digit kung saan ang bawat posisyon ng digit ay nangangahulugang lakas ng 10. Sa isang binary system, ang bawat posisyon ng isang digit ay kumakatawan sa isang lakas na 2.




Ang isang signal ng binary code ay nagsasama ng isang pagkakasunud-sunod ng mga de-kuryenteng pulso na nangangahulugang mga character, numero at pagpapatakbo na naisasagawa. Ginagamit ang isang aparato sa orasan upang magpadala ng normal na mga pulso, pati na rin ang mga sangkap tulad ng mga transistor, i-ON / I-OFF upang dumaloy kung hindi man ay hinaharangan ang mga signal. Sa binary code, ang bawat decimal number saklaw mula 0 hanggang 9 ay maaaring maipahiwatig sa pamamagitan ng isang hanay ng 4-binary bits / digit. Ang pangunahing 4 na pagpapatakbo ng aritmetika tulad ng pagdaragdag, pagbabawas, pagpaparami at paghahati ay maaaring lahat ay mabawasan sa mga kumbinasyon ng pangunahing mga pagpapaandar ng Boolean algebraic sa mga binary na numero.

Ano ang Gray Code?

Ang Gray Code o RBC (nakalarawan binary code), o cyclic code ay isang serye ng mga binary number system. Ang pangunahing dahilan upang tawagan ang nakalarawan na binary code na ito ay ang paunang mga halagang N / 2 na nasa reverse order bilang ihambing sa huling mga halagang N / 2. Sa ganitong uri ng code, ang dalawang magkakasunod na halaga ay binago sa pamamagitan ng isang solong bit ng mga binary digit. Ang mga code na ito ay pangunahing ginagamit sa karaniwang serye ng mga binary number na nabuo ng hardware.



Ang mga binary na numero ay maaaring maging sanhi ng mga pagkakamali sa sandaling ang paglipat ay tapos na mula sa isang solong numero hanggang sa magkakasunod. Karaniwang malulutas ng uri ng code na ito ang kaguluhan sa pamamagitan ng pagbabago ng kaunting sandali lamang matapos ang pagbabago sa pagitan ng mga numero.

Ang uri ng code na ito ay lubos na magaan ang timbang at hindi ito nakasalalay sa halaga ng digit na nakasaad sa buong posisyon. Ang ganitong uri ng code ay pinangalanan din ng isang cyclic variable code dahil ang pagbabago ng isang solong halaga sa magkakasunod na halaga nito ay nagtataglay ng pagbabago ng isang solong bit lamang.


Ito ang pinakatanyag para sa mga code ng distansya sa yunit gayunpaman, hindi ito angkop para sa mga pagpapaandar ng aritmetika. Ang mga application ng grey code ay may kasamang analog sa mga digital converter at digital na komunikasyon para sa pagwawasto ng error. Una, ang kulay abong code ay hindi madaling maunawaan, gayunpaman, nagiging mas madaling makilala.

Binary to Gray Code Converter

Ang binary code ay isang napaka-simpleng representasyon ng data na gumagamit ng dalawang halaga tulad ng 0 at 1, at higit sa lahat itong ginagamit sa mundo ng computer. Ang binary code ay maaaring isang mataas (1) o mababa (0) na halaga o kahit na isang pagbabago sa halaga. Tinatantya ng grey code o nakalantad na binary code ang likas na code ng binary na nakaayos kasama ang mga on at off na tagapagpahiwatig, na karaniwang itinuturo ng mga isa at mga zero. Ang mga code na ito ay ginagamit upang tingnan ang kalinawan pati na rin ang pagbabago ng error sa binary mga komunikasyon .

Ang pag-convert ng binary sa grey code ay maaaring gawin sa pamamagitan ng paggamit ng a circuit ng lohika . Ang kulay-abo na code ay isang hindi timbang na code dahil walang partikular na bigat na itinalaga para sa posisyon ng kaunti. Ang isang n-bit code ay maaaring makamit sa pamamagitan ng muling paggawa ng isang n-1 bit code sa isang axis kasunod sa mga hilera ng 2n-1, pati na rin ang paglalagay ng pinaka makabuluhang piraso ng 0 sa axis na may pinakamahalagang piraso ng 1 sa ilalim ng axis. Ang sunud-sunod na pagbuo ng kulay abong code ay ipinapakita sa ibaba.

Binary to Grey Code Conversion Logic Circuit

Binary to Grey Code Conversion Logic Circuit

Ang pamamaraang ito ay gumagamit ng isang Ex-OR gate upang maisagawa kasama ng mga binary bit. Ang sumusunod na pinakamahusay na halimbawa ay magiging kapaki-pakinabang para sa pag-alam ng conversion ng binary sa grey. Sa pamamaraang ito ng pag-convert, ibaba ang MSB bit ng kasalukuyang binary number, dahil ang pangunahing bit o MSB bit ng kulay-abo na numero ng code ay katulad ng binary number.

Upang makuha ang tuwid na kulay-abo na naka-code na mga bit para sa pagbuo ng kaukulang grey na naka-code na digit para sa mga ibinigay na binary digit, idagdag ang pangunahing digit o ang MSB na digit ng binary number patungo sa pangalawang digit at itala ang produkto sa tabi ng pangunahing kaunting grey code, at idagdag ang susunod na binary bit sa pangatlong bit pagkatapos ay itala ang produkto sa tabi ng 2ndkaunting kulay abong code. Katulad nito, sundin ang pamamaraang ito hanggang sa panghuling binary bit pati na rin tandaan ang mga kinalabasan depende sa Pagpapatakbo ng EX-OR lohika upang makabuo ng kaukulang kulay abong naka-code na binary digit.

Halimbawa ng Binary to Gray Code Converter

Ipagpalagay na ang mga digit ng Binary code ay bo, b1, b2, b3 samantalang ang partikular na Gray Code ay maaaring makamit batay sa sumusunod na konsepto.

Halimbawa ng Conversion ng Code

Halimbawa ng Conversion ng Code

Mula sa operasyon sa itaas, sa wakas makakakuha tayo ng mga grey na halaga tulad ng g3 = b3, g2 = b3 XOR b2, g1 = b2 XOR b1, g0 = b1 XOR b0.

Halimbawa ng Pagbabago

Halimbawa ng Pagbabago

Halimbawa kunin ang binary halaga na b3, b2, b1, b0 = 1101 at hanapin ang kulay abong code g3, g2, g1, g0 batay sa konsepto sa itaas

g3 = b3 = 1

g2 = b3 XOR b2 = 1 XOR 1 = 0

g1 = b2 XOR b1 = 1 XOR 0 = 1

g0 = b1 XOR b0 = 0 XOR 1 = 1

Ang huling grey code para sa halaga ng binary 1101 ay 1011

Binary sa Gray Code Converter Table

Decimal Number

Binary code

Gray Code

0

00000000
10001

0001

dalawa

0010

0011

3

00110010

4

0100

0110

50101

0111

6

01100101
70111

0100

8

10001100

9

1001

1101

101010

1111

labing-isang

1011

1110

12

11001010

13

1101

1011

141110

1001

labinlimang1111

1000

VHDL Code para sa Binary to Gray Code Conversion ay ibinibigay sa ibaba.

LIBRARY ieee
GAMITIN ieee.std_logic_1164.ALL
entity bin2gray ay
port (bin: sa std_logic_vector (3 hanggang 0) –binary input
G: out std_logic_vector (3 hanggang 0) – output ng code ng code
)
tapusin ang bin2gray
arkitektura gate_level ng bin2gray ay
magsimula
–Masamang pintuan.
G (3)<= bin(3)
G (2)<= bin(3) xor bin(2)
G (1)<= bin(2) xor bin(1)
G (0)<= bin(1) xor bin(0)
tapusin

Mga kalamangan

Ang bentahe ng binary code isama ang sumusunod.

  • Ang pangunahing pakinabang ng paggamit ng binary code ay simpleng ipinahiwatig sa pamamagitan ng mga elektronikong aparato
  • Ang data ng binary ay napakasimpleng itabi din.
  • Napakadaling mag-signify at makontrol ang elektronikong mekanikal.
  • Ang pagkakaiba-iba sa mga representasyon ng mga simbolo ay maaaring dagdagan kaya ang posibilidad ng error ay maaaring mabawasan.

Ang mga kawalan ng binary code isama ang sumusunod.

  • Ang kinakailangang bilang ng mga simbolo ay maaaring tumaas upang magpahiwatig ng isang naibigay na bilang ng mga pangkalahatang mga sistema ng halaga ng posisyon.
  • Hindi mababasa ng mga tao ang mga ito nang lubos na mabisa dahil sa kanilang haba at at gamit ang mga base-sampung numero sa pamamagitan ng default
  • Gumagamit ito ng maraming mga digit upang magpahiwatig ng anumang lohikal na numero

Mga Aplikasyon

Ang mga aplikasyon ng binary code ay may kasamang sumusunod.

  • Ginagamit ang mga binary code sa telecommunication pati na rin ang pag-compute para sa iba't ibang mga diskarte ng pag-encode ng data tulad ng mga string ng character sa mga bit string. Ang lapad na ginamit ng mga pamamaraang ito ay naayos kung hindi man ay variable-width strings.
  • Ginagamit ito sa mga wika ng computer pati na rin sa pagprograma dahil ang mga wika ng computer na higit sa lahat ay nakasalalay sa mga sistemang numero ng 2-digit.

Gray sa Binary Code Converter

Ang grey to binary conversion na paraan na ito ay gumagamit din ng konsepto ng pagtatrabaho ng EX-OR na gate ng lohika sa mga piraso ng kulay-abo pati na rin ang mga binary bit. Ang sumusunod na halimbawa na may sunud-sunod na pamamaraan ay maaaring makatulong na malaman ang konsepto ng conversion ng kulay-abo na code sa binary code.

Upang palitan ang kulay-abo sa binary code, alisin ang digit na MSB ng kulay-abo na numero ng code, dahil ang pangunahing digit o ang MSB ng kulay-abo na code ay katulad ng binary digit.

Upang makuha ang susunod na tuwid na binary bit, gumagamit ito ng operasyon ng XOR sa pangunahing pangunahing bit o MSB na bit ng binary sa susunod na piraso ng kulay-abong code.

Grey sa Binary Code Conversion Logic Circuit

Grey sa Binary Code Conversion Logic Circuit

Katulad nito, upang makuha ang pangatlong tuwid na binary bit, gumagamit ito ng operasyon ng XOR kabilang sa pangalawang bit o MSB na bit ng binary sa pangatlong MSD na bit ng kulay abong code at iba pa.

Halimbawa ng Gray to Binary Code Converter

Ipagpalagay na ang Gray Code mga digit na g3, g2, g1, g0 samantalang ang partikular na mga digit ng Binary code ay bo, b1, b2, b3 ay maaaring makamit batay sa sumusunod na konsepto.

Halimbawa ng Pagbabago

Halimbawa ng Pagbabago

Mula sa operasyon sa itaas, sa wakas makakakuha tayo ng mga halagang binary tulad ng b3 = g3, b2 = b3 XOR g2, b1 = b2 XOR g1, b0 = b1 XOR g0.

Halimbawa ng Conversion ng Code

Halimbawa ng Conversion ng Code

Halimbawa kunin ang kulay abong g3, g2, g1, g0 = 0011 at hanapin ang binary code b3, b2, b1, b0 batay sa konsepto sa itaas

b3 = g3 = 0

b2 = b3 XOR g2 = 0 XOR 0 = 0

b1 = b2 XOR g1 = 0 XOR 1 = 1

b0 = b1 XOR g0 = 1 XOR 1 = 0

Ang pangwakas na binary code para sa halaga ng grey 0011 ay 0010

Talaan ng Gray to Binary Code Converter

Decimal Number Gray Code

Binary code

0

00000000

1

0001

0001

dalawa0010

0010

3

00110011

4

0110

0100

50111

0101

6

01010110
70100

0111

8

11001000
91101

1001

10

11111010
labing-isang1110

1011

12

10101100
131011

1101

14

10011110
labinlimang1000

1111

Mga kalamangan

Ang bentahe ng kulay abong code isama ang sumusunod.

  • Maaaring mabawasan ang circuit circuit
  • Ginamit sa pagtawid sa domain ng orasan
  • Ginamit para sa pagliit ng error habang binabago ang mga signal mula sa analog sa digital
  • Kapag ginamit na ito sa loob ng mga algorithm ng genetiko, maaaring mabawasan ang paglitaw ng dingding na hamming.

Mga Dehado

Ang mga kawalan ng grey code ay kasama ang sumusunod.

  • Hindi naaangkop para sa mga pagpapaandar ng aritmetika
  • Naaangkop para sa ilang mga tumpak na application

Mga Aplikasyon

Kasama sa mga application ng grey code ang sumusunod.

  • Ginagamit ito sa analog sa mga digital converter
  • Sa digital na komunikasyon para sa pagwawasto ng isang error
  • Binabawasan nito ang mga error habang binabago ang mga signal mula sa analog hanggang digital.
  • Mga palaisipan sa matematika
  • Pagliit ng isang Boolean circuit
  • Ginagamit ito para sa komunikasyon sa pagitan ng dalawang mga domain ng orasan
  • Mga algorithm ng genetic
  • Mga encoder ng posisyon

VHDL Code para sa Gray code sa Binary Conversion ay ibinibigay sa ibaba.

LIBRARY ieee
GAMITIN ieee.std_logic_1164.ALL
entity grey2bin ay
port (G: sa std_logic_vector (3 pababa 0) - pag-input ng code ng gray
bin: out std_logic_vector (3 pababa 0) –binary output
)
tapusin ang grey2bin
arkitektura gate_level ng grey2bin ay
magsimula
–Masamang pintuan.
am (3)<= G(3)
am (2)<= G(3) xor G(2)
am (1)<= G(3) xor G(2) xor G(1)
am (0)<= G(3) xor G(2) xor G(1) xor G(0)
tapusin

3 Bit Binary to Gray Code Converter

Ipagpalagay ang mga binary digit sa 3-bit na binary number tulad ng b0, b1, b2, saanman ang bit na 'b2' ay ang MSB (pinaka makabuluhang bit) & ang 'b0' bit ay ang LSB (hindi bababa sa makabuluhang bit) ng Binary. Ang mga digit ng Gray code ay g0, g1, g2, saanman ang digit na 'g2' ay ang MSB (pinaka makabuluhang bit) samantalang ang digit na 'g0' ay ang LSB (hindi bababa sa makabuluhang bit) ng Gray code.

Binary Code - b2, b1, b0

Gray Code - g2, g1, g0

000

000
001

001

010

011
011

010

100

110
101

111

110

101

111

100

Kaya, ang boolean expression ay maaaring malutas para sa binary to grey code converter gamit ang k-map, makakakuha tayo ng g2 = b2, g1 = b1⊕ b2 & g0 = b0 ⊕ b1. Gayundin, maaari nating baguhin ang n-bit na numero ng binary (bnb (n-1) ... b2 b1 b0) sa Gray code (gng (n-1) ... g2 g1 g0).

Para sa LSB (hindi bababa sa makabuluhang kaunting)

g0 = b0⊕b1

g1 = b1⊕b2

g2 = b1⊕b2

g (n-1) = b (n-1) ⊕ bn, gn = bn.

Halimbawa, i-convert ang 111010 na mga binary number sa Gray code.

Kaya batay sa algorithm sa itaas,

g0 = b0 ⊕ b1 => 0 ⊕ 1 = 1

g1 = b1 ⊕ b2 = 1 ⊕ 0 = 1

g2 = b2 ⊕ b3 = 0 ⊕1 = 1

g3 = b3 ⊕ b4 = 1⊕1 = 0

g4 = b4 ⊕ b5 = 1 ⊕ 1 = 0

g5 = b5 = 1 = 1

Kaya, ang pag-convert ng binary sa kulay-abo na code ay magiging - 100111.

Binary to Gray Code Converter gamit ang IC 7486

Ang pag-convert ng binary sa grey at grey sa binary ay maaaring gawin gamit ang IC7486. Ang mga kinakailangang sangkap para sa paggawa nito ay isang breadboard, pagkonekta ng mga wire, LEDs, resistor, XOR (IC7486), switch ng switch ng pindutan at isang baterya para sa power supply.

Pangunahing kasama sa pakete ng IC7486 ang apat na XOR logic gate, kung saan ang mga pin na 7 at 14 ay magkakaloob ng supply para sa lahat ng mga pintuang pang-lohika. Ang o / ps ng isang solong gate ng XOR ay konektado sa pag-input ng iba pang gate ng lohika sa loob ng pareho o iba pang maliit na tilad hanggang magbahagi sila ng isang katulad na ground terminal.

Kaya, ito ay tungkol sa binary to grey code converter at grey sa binary code converter. Mula sa nabanggit na impormasyon sa wakas, maaari nating tapusin iyon ang mga converter na ito gampanan ang mahahalagang papel sa pagsasagawa ng iba`t ibang operasyon ng digital electronics pati na rin ang mga komunikasyon sa iba`t ibang mga system ng bilang. Ang mga halimbawa ng converter ng code na tinalakay sa itaas ay maaaring makatulong sa pag-unawa sa konsepto kung paano gawin ang mga kalkulasyong ito. Narito ang isang katanungan para sa iyo, ano ang mga aplikasyon ng mga grey code?